Monday, July 6, 2009

3D InCites: Unveiled

Today, July 6, 2009, is the day a vision becomes a reality. When I first started Françoise in 3D, I knew it was just the beginning of something much bigger. During the last six months, with the help of Leo Archer, industry colleague, friend, and now full-fledged business partner; and Robert Petrossian, founder of SemiNeedle; this vision has taken shape and form to become 3D InCites a sponsor-supported community forum dedicated to stirring up interest in 3D integration. From now on, that will be the new home of Francoise in 3D, so please bookmark http://www.3dincites.com/ for uninterrupted coverage.

I don’t want to spend a lot of time explaining what this site is. I’d rather you spend your time taking it out for a test drive. You’ll notice we’ve established topic centers focused on the different categories critical to 3D Integration technologies: R&D collaboration; materials; equipment; processes technology; and; design, test and inspection. Tell us what you think. Consider becoming a sponsor. To celebrate this launch, we will post the logos of the first 10 organizations who post comments in their associated topic center for the duration of SEMICON West.

Finally, I'd like to welcome Leo to this space as a fellow blogger in 3D integration trends. Be sure to read Transistions - Part 1, for a full explanation of our vision, concept, and business model. -- F.v.T.

Monday, June 29, 2009

SEMATECH: hitting 3D head-on

At last week’s SEMATECH technology round-up webcast, Sitaram Arkalgud, director of 3D interconnect, made a comment about 3D technologies that, in my opinion, really brought all the issues swirling around it to one vital point. It was this: as a platform, 3D allows a whole other scheme of processes to be considered that don’t enter the realm of possibilities when you’re dealing with a 2D platform.

By now, those of us who regularly attend 3D events can recite the benefits of moving to 3D using TSV—reduced form factor, increased functionality, higher performance, and lower power consumption, reduced cost, etc. Arkalgud expanded on the cost reduction benefit by pointing out that 3D offers the potential for containing costs at device, die wafer, factory and market levels, which could be powerful going forward. He said that even though adding certain steps may additionally add to the cost structure, the benefits will be realized further down the supply chain.

In 2005, when deciding to invest in an R&D program to develop 3D integration using TSV, SEMATECH began by assessing different options, focusing first on materials, via formation, bonding, and integration. To further narrow these options, they focused on cost modeling, performance, risk, and product requirements; benchmarking tools and processes to achieve this. In 2008, the organization really got into the thick of technology development, focusing on the “nuts and bolts of 3D” using 300mm equipment 3D specific tools. The goal of the program, explains Arkalgud, is to have a test bed for member (IDMs, foundries, suppliers, etc.) evaluations.

So far, Arkalgud reports that SEMATECH’s key processes have been:
  • TSV reactive ion etch: 1 micron vias at a 20:1 aspect ratio using a non-Bosch process on TEL SP UD.
  • Dielectric liner, barrier, seed layer: TEO/TaN/PVD cu seend using a CSNE toolset.
  • Void-free via fill: void free Cu via fill using NEXX Stratus
  • Bonding of wafer or dies: Cu thermocompression wafer-wafer (W2W) bond on 300mm tools.
  • Working on thinning and handling of wafers/die
  • 3D –specific metrology: IR scanning acoustic microscopy

    Specifically addressing issues with thin wafer handling and stresses caused by backside processing and subsequent bonding, Arkalgud notes that the greatest issues still lies with thermal stresses caused by processing conditions, and material requirements for temporary bonding and debonding methodologies. He says that SEMATECH’s 3D program aims to establish standard methodologies with which to evaluate current processes and materials to create its own data that can be compared “apples-to-apples”, rather than rely on data provided by individual suppliers. The organization is currently evaluating 300mm bonding tools to determine which will be most suited to carry out this work.

    Additionally, when it comes to addressing thermal issues with 3D integration, Arkalgud says possible solutions involve using dummy TSVs as heat sinks; developing design tools that dynamically detect where hotspots would be and designing accordingly; or even incorporating channels for microfluidic cooling. This is where Arkalgud’s comment came in about 3D schemes offering new possibilities where 2D falls short. Really, when you think about it, at 2D if we attempt to further reduce form factor while increasing functionality and performance in a 2D scheme, we’re going to hit the same roadblocks in thermal management, power consumption, yield management, cost, etc. While 3D may not have all the answers yet, it certainly opens the door to solutions that otherwise can’t be achieved. – F.v.T.
  • Friday, June 26, 2009

    SUSS MicroTec: A 3D Approach



    It’s been a few years since I toured the US headquarters of SUSS MicroTec in Waterbury VT, so when general manager, Wilfried Bair invited me out to see their latest toolset developed with 3D integration processes in mind, and get the scoop on the company’s new temporary bonding and debonding system, I jumped at the chance.

    Wafer-level packaging, MEMS, and LED have long been the foci of SUSS MicroTec, but as 3D integration and packaging processes emerge as pivotal to the semiconductor industry, SUSS MicroTec has adjusted its strategy. Rather than adapting existing tool sets to also handle 3D integration processes, 3D now tops the priority list, and all 300 mm equipment in development has been optimized with 3D processes in mind first, which in turn benefits the existing markets with higher performing tools. Wilfried Bair, general manager of SUSS MicroTec’s bonder division, and V.P. of business development worldwide, describes this win-win situation: “3D is driving innovation,” he says, and every other market we serve benefits.”

    In his book, Handbook of 3D Integration: Technology and Applications of 3D Integrated Circuits, Dr. Phil Garrou, identifies 9 potential process variations for 3D IC stacking involving via formation, (vias first, middle, or last); thinning (on temp. carrier or 3D stack); temporary and/or permanent bonding (face to face or face to back bonding). Regardless of which variations shake out as standard, SUSS MicroTec has tools to cover it from patterning for TSVs (mask aligners and coaters), to bonding and stacking for either wafer-to-wafer die-to-wafer stacking (permanent bonding) as well as temporary bonding and debonding.

    By far the most exciting piece of news Bair shared, (and one I had been waiting in anticipation for quite a while) involved the Bonder Division’s flagship platform, the XBC300, which offers a complete line of process modules for permanent and temporary wafer bonding. Of specific interest is the temporary bonding and debonding system that supports several different material processes that exist in the market.

    Until the recently announced agreement with 3M, SUSS MicroTec has focused on the work with T-MAT, a German start-up company. To demonstrate the elegant simplicity of the T-MAT process, Bair first walked me through three comparable processes that can be performed on the XBC300, whose modules have been configured for temporary bonding (SC300 - Spin Coat for process integration and simplification; PL300T - Plasma to form release layer; LF300 - Low Force bond).

    In Brewer Science's Thermoslide process, the device wafer is flipped face down and temporarily bonded to a carrier wafer that has been first coated with an adhesive by spin-coating and baking. The device wafer is aligned and bonded and backside processing occurs. During the debond process, an electrostatic chuck is secured to the backside of the wafer (now on top) and by applying heat, is slid off the carrier wafer. It is then flipped while still attached to the chuck, cleaned, and then either flipped again and attached to a dicing frame for die-to-wafer stacking; or aligned and permanently bonded for wafer-to-wafer stacking. Bair notes that this process is not easy to do, requires multiple process steps, and is therefore expensive. It also has issues with delamination during the debond step on 300mm wafers.

    The 3M process, by comparison, requires only one carrier transfer in the temporary bonding step. In this scenario, both the device wafer and the glass carrier are spin coated with a material; adhesive on the wafer, and a release layer on the carrier. The wafer is then flipped and bonded to the carrier by means of a UV curing step. After backside processing, the debonding processes is simple: the thinned device wafer is flipped and attached to the dicing frame, the release layer is activated by means of a laser to remove the carrier wafer easily, and then the adhesive is peeled away from the device wafer, which is subsequently cleaned and ready for stacking. Bair says this is an easier process, and although there is an additional bonding step, it’s fast and clean and can be done at room temperature.

    The T-MAT (shown below) process further simplifies debonding by spincoating a precursor (elastomer) on both the device wafer and carrier wafer in the bonding process, and then using plasma to form the release layer. The device wafer is flipped and temporarily bonded to the carrier wafer. The debond process is simple. Wafers destined for W2W stacking are precision-aligned and permanently bonded. Those destined for D2W stacking are attached to a dicing frame. In either case, debonding is a cold process, and requires only a small gap to be made between the carrier and device wafer so it can be lifted off.



    Bair’s philosophy – and therefore the basis of his approach for business development at SUSS MicroTec is simple: Provide the whole infrastructure. Build equipment that is standardized and flexible. When a new process pops up, make sure it can be accommodated. “Customers want bonded wafers, at lowest cost, with highest yield,” says Bair “How we do that is our problem.” Enough said. -- F.v.T.

    Today's post is sponsored by

    Thursday, June 25, 2009

    Countdown to SEMICON West 2009

    With only 2.5 weeks to go (you're all saying, really? it's that close?) until SEMICON West, I can see the activity building around this year's event. I've already talked about the increased focus on 3D this year. One thing I didn't mention yet are the various awards programs that are happening....or not.

    Semi's own Best of the West Awards, launched last year to promote innovation and recognize important product and technology developments in the microelectronics supply chain, is back again for it's second year. The finalists were announced this week, and according to a press release, were selected "based on their financial impact on the industry, engineering or scientific achievement, and/or societal impact." On that list for the second time in two years is Alchimer, this time for it's AquiVia wet depostion technology. Last year, the company's egViaCoat electrografting process for copper seed made the list.

    Absent from this year's line-up are both the Attendee's Choice Awards and the Advanced Packaging Awards. Instead, this year Solid State Technology and Advanced Packaging will honor those who have made it through this economic downturn through efforts to "compete, innovate and achieve" by bestowing the Semiconductor Survivor Awards. If you haven't already entered, you have until June 29.

    One thing's for certain, people certainly are tapping into ingenuity this year to make the most out of SEMICON West on a tight budget. I think this really says something for the overall existance of the event. I mean, if it wasn't such an icon of the industry, companies would just pull out of it all together, wouldn't they? Maybe SEMI should get an honorary Survivor Award just for that. -- F.v.T.

    Tuesday, June 23, 2009

    3D companies address critical areas

    It’s only Tuesday, and already, it’s been a productive week for 3D news.

    Yesterday, SUSS and 3M announced an agreement in which SUSS becomes an authorized equipment supplier for 3M’s temporary bond and debond process. As such, SUSS’s 300mm wafer bonders will be configured to support 3M’s process and materials. This ties in to SUSS’s 3D strategy, which according to Wilfried Bair, general manager, Wafer Bonder Division, SUSS MicroTec, is to provide “a flexible, modular platform” configurable to customer needs. He recently explained to me that how you debond depends on the materials you bond with. Carrier wafer processes have been used in manufacturing high power devices for years, but the requirements around 3D are different, when you consider that instead of 200mm wafers, you’re potentially dealing with 300mm wafers thinned to 50µm. The fewer the steps, and lower the temperature, the better. So the advantages to the 3M process is that there’s only one carrier wafer step involved, it’s fast, clean, and can be done at room temperature.

    Today, Alchimer SA, made a three-fold announcement, leading off with the news that it received its 3rd round of funding in the amount of $10M to expand customer-support programs and pursue new IP development. This is a significant achievement given the current economic climate. Additionally, the company formally welcomed Kathy Cook aboard as business development manager, who brings a solid background from previous positions at SUSS MicroTec, Applied Materials, Millipore, and ULVAC Technologies. (Incidentally Kathy, don’t let them call you “veteran” again in a press release. It makes you sound MUCH older than you are.) Alchimer also announced an agreement with Nagase Ltd., a Tokyo-based marketing firm, to help them meet the demand of the Japanese market.This news, coupled with last week’s announcement that the company had achieved an 80% reduction in cost-of-ownership for its egViaCoat processes indicates that this company is really on to something with this low-cost wet deposition alternative for TSV copper seed.

    Lastly, DEK international stepped into the 3D arena, combining efforts with CHAD to incorporate DEK’s thin wafer system with CHAD’s wafer handler, thereby addressing traditional challenges associated with high-speed handling and processing of thinned wafers for emerging 3D packaging, wafer coating and ball placement processes. The companies plan to demonstrate their capabilities during SEMICON West. I look forward to learning more about this at the show.

    Individually, these announcements have nothing to do with one another, but collectively, they can be used to illustrate how the industry is addressing some of the remaining critical areas of 3D integration with TSV interconnects. Insulator barrier/ seed, and wafer bonding; — particularly temporary bonding and debonding — and thin wafer handling have been pointed out as areas still needing cost-effective solutions. Looks like we’re making progress. – F.v.T.

    Monday, June 22, 2009

    3D processes and approaches: stepping stones to market adoption

    I recently had one of those moments of clarity that comes from asking different people the same questions and fitting all the varied answers together like a puzzle to come up with the big picture. In this case, the questions had to do with the various approaches being developed to achieve 3D integration using TSVs – namely, via-first, middle and last for via formation; and wafer-to-wafer (W2W) and die-to-wafer (D2W) for device stacking. One thing is for sure, there is no shortage on opinions out there surrounding these issues.

    At the risk of oversimplification, I offer up the following analysis; perhaps the best way to understand how 3D integration using TSV will ultimately come together is to see it as a progression – a stepping stone approach. Even though that’s how the questions are often posed – it’s not likely to end up as an either-or situation.

    D2W currently achieves better yields than W2W, but once the known-good-die issue is figured out, W2W will be a more cost-effective process because it is done in parallel. Via-middle will most likely be the TSV champion, once design and test tools are available; but until then, some predict that the first products will be built using via-last, which can be done with existing die. It’s a matter of convincing the industry as a whole (and there are still many who remain skeptical that TSV itself is the answer) that overcoming current limitations for W2W and via-middle is a worthy investment.

    Regardless of how things shake out, the equipment and materials manufacturers are covering their bases by making sure their tools and chemistries adapt or exist for all possible variations. Because no matter which processes are adopted for volume production, there will always be niche applications that are better suited to the processes that don’t reach volume production. – F.v.T

    Friday, June 19, 2009

    Are you an oldtimer or a newcomer?

    It has nothing to do with age, and everything to do with whether you have the ability to embrace new and exciting things, or whether you deem them unworthy of your time.

    When it comes to communication, we live in a rapidly changing world. A couple of years ago, using the Internet as a means of communication was still fairly linear. E-mail and IM were firmly established for two-way communication, but Skype was just starting to be accepted, and social networking for both personal and professional purposes was very much on fringe – used only by the savviest and most trendy adopters of technology; college students, teenagers, rock stars, and other social elite; or professionals looking to build their networks. In the past year that has changed dramatically, as people from all walks of life discover the usefulness of these methods of staying in touch, when used with respect.

    I “talk” to lots of people every day – on the phone, via email, Skype, LinkedIn, facebook, in web meetings on SemiNeedle, and finally just a few days ago, on Twitter. As an industry commentator, these have become the tools of my trade. I find them incredibly efficient methods for connecting with colleagues and friends from around the world, as well as for sharing information. I don’t worry that it imposes on my time, because I know how to set an out-of-office email, and how to power down my laptop and cell phone. So I don’t really get when people, especially those in the business of gathering and sharing information, say “I don’t have time for those things.” I think what they’re really saying is, “I don’t have time to learn how they work and incorporate them into my lifestyle”.

    In my opinion, if you’re in the communication business, these tools can actually help save both time and money, and are worth the time investment of becoming familiar with them. The truth of the matter is, those teenagers and college students who started using them from the beginning are joining the workforce, which means that over time, they will replace traditional methods of exchanging information. After all, most of them are so easy, even a child can use them…

    So which are you, an Oldtimer or a Newcomer? -- F.v.T