Thursday, March 12, 2009

Setting the record straight on TMV and TSV

After attending Curtis Zwenger’s presentation introducing Amkor’s latest contribution to the package-on-package (PoP) family based on the company’s proprietary through mold via (TMV) technology, I feel compelled to correct some misinformation I read last week on a colleague’s blog.

Although TMV appears to borrow conceptually from TSV technology, it is by no means meant to be an alternative technology to TSV. In fact, the two technologies address 3D stacking at different levels, and were developed to address different issues. TMVPoP is a 3D package configuration, and the technology was developed to address PoP warpage issues, overall package size, improve fine-pitch stacking and improved density and signal integrity at the package level. On the other hand, TSV is a 3D IC integration building block for stacking the chips themselves to improve performance, form factor, and signal process packing density. And according to Eric Beyne of IMEC, high density TSV solutions will ultimately be required to optimize system partitioning.

In actuality, according to Lee Smith of Amkor, not only is TMV NOT an alternative to TSV, it was designed to support TSV stacked chips in its bottom package, in addition to flip chip and wire bond designs. I hope this helps clear up any confusion.

Zwenger’s presentation shared data proving TMV’s PoP benefits such as demonstrating the smallest warpage at 25 and 260°. TMV flattens out the package at the corners and improves fine pitch stacking. As a result, when compared to bare die flip chip POP, lower warpage with TMV enables substrate thickness and PoP stack up reductions. Additionally, improved design rules allow for increased die size by 30%. Zwenger also said TMVPOP meets package requirements for high density and signal integrity.

The Device Packaging Symposium has just concluded for the year, but as I suspected it supplied me with enough material to write about for days. So there’s more to come. – F.v.T.

Wednesday, March 11, 2009

From the DPC: Panelists address burning questions for 3D IC integration

I’m glad I stuck around last evening for the 3D panel discussion on the status of 3D integration technologies, applications and roadmaps. As moderator Phil Garrou pointed out, it offered the opportunity to hear some commentary I might have otherwise missed by only attending the scheduled presentations. As a result, I, and a roomful of active participants, got a peek at the inside track of what’s happening .

Panelists included Bob Patti, of memory-maker Tezzaron Semiconductor; Eric Beyne, director of advanced packaging technologies at IMEC; renowned market analyst and keynoter, Jan Vardaman, of TechSearch international; C.J. Berry, of Amkor product development; and Bioh Kim, director of business development for EV Group. Garrou posed a line-up statements and asked the panelists if they agreed or disagreed, and why. Here’s what the panelists had to say.

In order of appearance, the three short term product drivers — CMOS Image sensors, memory on logic, and memory stacks — are paving the way for the ultimate goal, which is repartitioning. Repartitioning involves dividing chips into functions, producing them on separate wafers and stacking them. Do you agree that this is the ultimate goal?

With regard to the order of release, there was general consensus among the panelists. Vardaman elaborated her position on memory, saying she was “sticking to her guns” that DRAM memory will come before Flash memory due to the cost of TSVs. “Solid state drive makers don’t have it on their horizon, so we know it’s further out there. Berry offered that TSV is about evolutionary steps, and will require a mature supply chain to bring it to market.

Addressing partitioning as the ultimate goal, Patti said that it all depended on the value proposition, and Berry concurred, adding that there was no simple answer to that. Beyne said that memory on logic will lead the way to repartitioning. For memory stacking alone, wire bonding is still the cheapest way to go. He added that 3D partitioning is really a different type of 3D where you’re adding blocks. “It’s a different study involving higher density and is not the same TSV technology, However, at that level, potential cost advantages and paybacks are higher,” he said.

A comment on the floor about seeing “the same pictures as last year” sparked the question, what has changed in a year? Vardaman responded that since last march, a number of companies now offer chip-on-chip solution, which is the step before TSV. Some of the probe card companies — Formfactor, Wentworth Labs, Cascade Microtec —have been working on their probe card technologies; thermal area is showing promise; and some of the small design tool companies like R3Logic have made progress “I think you’re seeing the same pictures because people are still working on this, and aren’t ready to go public with their findings yet,” she added.

Beyne said that in Europe, 3D processes are showing up in MEMS applications and in automotive applications. He also said that a better response from EDA vendors with regard to the EDA issues is a good sign that things are coming because they don’t do anything until things are ready.

“If you’re talking about taking something from first article demonstration to high-volume production, seeing the same picture for a few years shouldn’t shock anybody." added Berry.

Beginning with the adoption of TSV for CMOS image sensors, and memory on logic in the 2008-2009 time frame, followed by backside illumination (BSI) in ’09, DRAM around 2010, and heterogeneous integration and repartitioning by 2014, Garrou asked if the panelist agree with this sequence if not these dates?

Berry agreed with the order, but also suggested that we might see some derivatives of heterogeneous integration by 2012 or 2013, with logic deconstructed on an interposer, for example. “ I wouldn’t be surprised if to see a simplified version of the heterogeneous integration show up a year earlier.” He said.

Vardaman said that other than image sensors, things have shifted out a bit due to the economic condition. “How long does it take to put a 300mm line in? Capex doesn’t look good.” She pointed out.

While agreeing with the sequence, Beyne noted that the image being used on various presentation to depict heterogenous integration “gives a completely false impression of what will happen. It will be a simpler version,” he noted, adding that it’s a question of added value. The driver from business point of view will be the advantage to “fab lite” manufacturers.
Garrou concurred, pointing out that the ability to do this in parts does have an advantage, especially from an IP perspective.

Patti said he agrees with the order of the way things will happen, and also sees it as a good time frame. “I agree flash will be behind DRAM, but phase-change memory will be before flash.” He explained that as phase-change memory is still in the design phase, it offers the opportunity to start from scratch. There’s no hurry to convert existing products to TSV, but when you’re starting with a new memory architecture, and have a problem that can be solved, it makes sense to incorporate TSV.

TSMC stepped forward with a roadmap to do TSV at 50µm pitch – willing to implement in 2011. Will TSMC hold to this roadmap or push out the iTSV production capability?

The consensus among the panel — TSMC will likely push it out. “There aren’t enough customer to justify it, so I think it will push out." noted Patti. “I’ve good reason to believe it’s likely to move because they’re demand driven. There would have to be strong customer demand.”

Berry noted that it’s a difficult business model for TSMC. “Its everyone’s best interest to wait." he said. “It’s always better to delay."

Do we all agree that for the most part, TSV will be a Fab/Foundry business?

While it seemed clear from the panel responses that the vias themselves will be created first in the foundry, who would take ownership of the post fab processes still remains to be seen. Barry said that it’s a question of risk mitigation. OSATS will be in good position to support middle end technologies.

Patti said that wherever it is, it will be important that it’s one entity that’s doing all the post-fab processes in one spot: backside grinding, surface treatment, RDL , microbump, die stacking, assembly and test. He added that since foundries got burned in the bumping business, he sees the task being taken on by the OSAT providers — albeit by a short list of OSATS who can do it.

Offering a perspective from equipment manufacturers, Kim pointed out that transferring very thin, delicate wafers between locations is a concern. “I’m not sure who will be the ones to do it,” he said, “but multiple processes done on very thin wafers, should be done at one location.”

Aside from test, do we all agree that equipment sets are ready for production?

The general concensus among panelists was that yes, with a few modifications in some areas equipment is ready. “EVG is definitely ready.” said Kim.

From the floor, Ted Tessier of Flip Chip International pointed out that there’s still work to be done in the die placement area. “It’s not cost effective yet," he noted.

Design and Test: what is the hesitancy of Cadence and Mentor Graphics to addess the design tool issue, and should it be done under a consortium umbrella?

There was general agreement among the panel that a the design community doesn’t really lend itself to a consortium format. Vardaman observed that with regard to Cadence and Mentor, it is likely they are waiting for a small start-up company to develop the tools, and then they’ll acquire them.

Speaking from his position as an early adopter, Patti said that designs for memory can be done with existing tools. “We don’t like it, it’s a lot of heavy lifting, but it can be done,” he said. However, it will be a problem when it comes to heterogeneous integration. The bigger companies don’t have a compelling reason to develop them yet, Patti added, because they aren’t losing business to a competitor by not having a 3D solution. He named R3Logic and Micro Magic as two small design houses that currently have EDA tools for 3D.

Test – is this being done and kept under wraps?

Test was one of the most elusive areas, with the least amount of response among the panel and audience alike. Patti said he’s not sure how a wafer with 1.5M channels will ever be tested. He offered Tezzaron’s solution of built in self-testing and self –repair. Ultimately, he said, you’ll need to test the final package. “Tera-computing will require self test and self repair." he added.

Approaches to testing memory and logic are completely different, said Beyne. He suggested that inspection is a more viable approach, such as with metrology tools. “A lot of metrology issues can be measured to compliment the testing." In the end, the final structure will need to be tested.

All in all, some direct answers to some fairly provocative questions. As panel discussions go, I’d say this one brought some interesting information to the forefront. If anyone who attended thinks I left something out, be sure to add your comments here. – F.v.T.

Tuesday, March 10, 2009

Sound Bites from IMAPS Global Business Council

Yesterday, a full line up of industry experts offered their perspective of supply chain developments for 3D packaging, in addition to general commentary on what needs to occur to solve current technology limitations. In no particular order, here’s a collection of evocative comments I collected throughout the day.

Jan Vardaman, TechSearch International on TSV programs: Almost everyone has a TSV program. Despite the downturn, people are putting money into the TSV area. People recognize if you don’t put your money in the emerging technologies, you won’t be able to play in that market later.

Bill Bottoms, Nanonexus on the future of wire bond stacking: “We need to get rid of stacked die wire bond assembly. In the future, we can’t afford space, additional inductance, and additional power. Interconnect density will out-run anything we can do with wire bond."

Rosalia Beica, Semitool and EMC3D Consortium on the benefits of partnership: "In order to successfully implement 3D IC technology in the industry , we need a good understanding of the providers of this technology. If we can provide solutions to overcome challenges, it will be easier to implement the technology.”

Eric Beyne, IMEC, on 3D terminology: “There is confusion with 3D terminology . How can we come to a clear roadmap if we don’t have a clear definition of 3D technologies?” and on comparing overall cost of 3D IC development: “We have to be careful with cost comparison. If you look only at the cost of TSV it’s not realistic.”

Bob Patti, Tezzaron, on lessons he’s learned as an early adopter of 3D IC integration: “I’ve been involved in 3D for 10 years, and one thing I’ve learned is that it’s really hard to change this industry.” And on the Evolution of 3D technologies: “I think right now, there are too many choices. In the future there will be more than one, but fewer than today. Reduction to volume practice will pick the winners.”

Jean Trewhella, IBM, on the IDM’s viewpoint of collaboration projects in 3D: “Collaboration allows us to get points of view from many different users, even if IBM is not serving that space, our partners will be.”

Jim Walker, Gartner, on the effect of 3D Integration on the supply chain: 3D and TSV are changing the structure of the semiconductor industry. There’s a change in IC design rules; true system-level design is now possible. The supply chain is restructuring, and the roles of equipment and materials suppliers are being redefined, as front-end equipment manufacturers and material suppliers are getting involved.

Tom Gregorich, VP packaging, Qualcomm on adopting TSV in cell phones: TSV TSS (through silicon stack) Is the most viable next generation technology for cell phone 3D construction.

Leo Linehan, Rohm and Haas, on the material providers perspective of 3D technologies: “These conferences are useful for material scientist to learn about the end-use challenges. We as a material vendor are looking for economy of scale. With TSV, a real opportunity is coming in the future, with stacked memory and on the MPU side. CMOS image sensor, while in high volume, is a relatively small market for us.”

Suresh Gowalker, Intel, on various roles for TSV in future packaging technologies: Processor and memory will require customization as complexities, interdependencies, and performance demands go up. Dedicated thermal TSVs for thermal management, and DRAM TSVs to “feed the beast” (power limitations) are viable options.

Marc Robinson, VCI, on successfully rolling out a new technology in the existing supply chain: “VCI’s key role was to ensure the process integrates seamlessly into the existing supply chain. We had to demonstrate that there wouldn’t be much change to the existing infrastructure. Establishing equipment and material partners helped to convince the supply chain it was ready for adoption.

The three-day Device Packaging Symposium kicked off today, with a full track on developing 3D technologies. So look for continuing coverage, and sound bites from this event later in the week. - F.v.T

Monday, March 9, 2009

Collaborate, innovate, and get ready for the rebound

I know where many of the optimistic people in the semiconductor industry were today; giving presentations at the Global Business Council of IMAPS in Scottsdale AZ. The official theme of the day: Supply Chain Development for 3D Packaging, but I picked up on a subtheme, which was how to leverage the current economic condition for 3D packaging growth.

The experts agree. Companies that use the downturn to their advantage are positioning themselves for growth when the economy rebounds. Collaborative efforts are more encouraged than ever to bring these technologies to market quickly, and quarter by quarter, the semiconductor will begin to experience rebound.

There was no gloomy economic forecasting (scratch that – I wrote that sentence before Jim Walker stated that there would be no growth in the semiconductor industry this year) However, to his credit, he did redeem himself by the end of his talk saying that packaging technologies add more value to system s as time-to-market compresses and silicon becomes commoditized. He predicts that packaging, assembly and test will contribute to 20% of the total semiconductor revenue by 2013.

Bill McLean , IC Insights, regaled the group over lunch to position themselves for a rebound. “It’s going up from here. I’m not predicting a boom, but it’s going to be better than it is right now,” he said.

He and Jan Vardaman of TechSearch International both pointed out the general population’s reliance on electronic devices. “All phases of future life will be increasingly dependent upon electronics,” noted McLean. Vardaman pointed out that at some point, everyone has to buy new cell phones, computers, and other electronic devices. The message: the industry will bounce back – demand will call for it.

In the mean time, Vardaman encouraged continued investment in R&D for 3D integration technologies, saying that the companies who do so will be the ones who survive. Walker pointed out that historically, extreme industry downturns accelerate adoption of packaging technologies. When times are good, he noted, everyone’s busy getting product out the door. Production lulls, in a sense, allow for the time needed to invest in developing new processes. Bill Bottoms of Nanonexus declared, “The future of industry growth depends on the success of 3D Integration”.

And everybody agreed that joint development agreements, consortium activities – any means of collaboration really – are the keys to rapid, cost-effective technology development.

That’s really just the tip of the iceberg of what’s being talked about here at the Global Business Council and IMAPS Device Packaging Symposium. Stay tuned this week for much more on both. – F.v.T

Breaking News from Global Business Council

I promised that as soon as I knew who NEXX’s new collaboration was with, I would let you all know. At this moment, I’m sitting in a session in which Jean Trewhella, director of packaging research at IBM, is discussing the benefits of IBM’s collaborative efforts through their Common Platform Technology. “Collaboration allows us to get points of view from many different users, even if IBM is not serving that space, our partners will be, “ she says. And I’m thinking – aha! The major IDM has to be IBM.

Trewhalla said IBM has recognized a need for evolutionary and revolutionary developments in package technology to support the semiconductor industry. As such, the next phase of Common Platform will focus on 3D integration with the establishment of the New York Nanotechnology Packaging Center, which will integrate silicon partners, service providers, equipment providers, materials providers, and R&D providers and others. One of the material partnerships she identified was the recent agreement with Rohm and Haas.

It came during the Q&A portion of her presentation. Trewhalla announced the partnership with NEXX. So there you have it, hot off the press. – F.v.T